CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 波形 wave

搜索资源列表

  1. danpianji.rar

    0下载:
  2. 单片机设计波形发生器,包括方波正弦波锯齿波,可调幅度和频率,Waveform generator single-chip design, including the square-wave sine wave sawtooth, adjustable amplitude and frequency
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:14921
    • 提供者:liuyue
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. sWave.rar

    0下载:
  2. 正弦波,Verilog波形发生器,很好的东西,Sine wave, Verilog waveform generator, a good thing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1391960
    • 提供者:yanppf
  1. hanshufashengqi.rar

    0下载:
  2. 用单片机与DAC0832 构成的波形发生器,可产生方波、三角波、锯齿波、正弦波等多种波形,波形的周期可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。,Constitute a single chip with the DAC0832 using the waveform generator to generate square wave, triangle wave, sawtooth, sine wave, etc., the cycle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:82491
    • 提供者:hlhi
  1. xhfsq.rar

    0下载:
  2. 能产生正弦波、三角波、锯齿波和方波,波形种类和频率可以通过矩阵键盘设置,Can produce sine wave, triangle wave, sawtooth and square wave, waveform types and frequency can be set through the keyboard matrix
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:4765
    • 提供者:cyy4409
  1. code.rar

    1下载:
  2. matlab用于信号发生器产生波形,正弦波,方波等,matlab for the signal waveform generator, sine wave, square wave, etc.
  3. 所属分类:matlab

    • 发布日期:2017-03-31
    • 文件大小:13812
    • 提供者:毛名言
  1. DDS-top.rar

    0下载:
  2. 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。,Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:299054
    • 提供者:evil
  1. wave

    0下载:
  2. 画信号的波形,在对话框上直接显示波形图,动态-Waveform drawing, displayed in the dialog box on the waveform directly, the dynamic
  3. 所属分类:Dialog_Window

    • 发布日期:2017-05-13
    • 文件大小:3499782
    • 提供者:jack
  1. WAVE-CODE

    0下载:
  2. 用汇编语言产生各种波形的代码,包括方波、三角波,正弦波等-Using assembly language to generate a variety of waveforms of the code, including the square wave, triangle wave, sine wave
  3. 所属分类:assembly language

    • 发布日期:2017-04-02
    • 文件大小:13541
    • 提供者:chenxuefeng
  1. weijibaogao.rar

    0下载:
  2. 微机原理课程设计报告,波形发生器的设计,能产生正弦波,方波,锯齿波和三角波,能调频调压,Computer Principles of curriculum design report, the design of waveform generator can produce sine wave, square wave, sawtooth wave and triangular wave, FM regulator can
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:184096
    • 提供者:唐钱江
  1. audiowav

    0下载:
  2. 探测wave的输出波形显示波形图及其波形动态条图。采用waveInopen 等api函数处理。-Detection wave output waveform display waveforms and waveform dynamic bar graph. WaveInopen such as the use of api functions to deal with.
  3. 所属分类:Audio program

    • 发布日期:2017-03-29
    • 文件大小:172322
    • 提供者:章聪
  1. pinpu2

    0下载:
  2. 用Matlab产生正弦波,矩形波,以及白噪声信号,并显示各自时域波形图,FFT实践及频谱分析-Matlab generated by sine wave, square wave, and the white noise signal, and display their time-domain waveform, FFT spectrum analysis of practice and
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:998
    • 提供者:qin
  1. 8255

    0下载:
  2. 这是汇编语言的硬件实验程序,用8255和0832在示波器上显示四个波形,方波,锯齿波,三角波,正弦波。-This is the assembly language of the hardware experimental procedure, using 8255 and 0832 in the oscilloscope display four waveforms, square wave, sawtooth wave, triangle wave, sine wave.
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:1926
    • 提供者:wujingyun
  1. curve_

    1下载:
  2. vc++作的。用于显示曲线波形的程序。可以选择不同的显示方式。支持正旋波,方波和三角波。背景和曲线使用不同的颜色进行绘制-vc++ for the. The program used to display the waveform curve. You can choose a different display. Support positive spin wave, square wave and triangular wave. Background and the curve drawn
  3. 所属分类:绘图程序

    • 发布日期:2013-11-12
    • 文件大小:73957
    • 提供者:马娟
  1. DDS

    0下载:
  2. 我们小组共了一个月做的DDS,程序核心用的是Verilog HDL,有仿真波形,输出正弦波,方波,及三角波,步进可调.频率范围1HZ--10MHZ-Our group for a month to do a total of DDS, the procedure is used in the core of Verilog HDL, there are simulation waveform, the output sine wave, square wave and triangular wa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:117375
    • 提供者:tiancheng
  1. DA

    0下载:
  2. 波形发生器啊 可以产生方波,锯齿波 三角波 正弦波-Ah waveform generator can produce square wave, sawtooth wave sine triangle
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:148787
    • 提供者:豪仔
  1. WaveCtrl_src

    0下载:
  2. Wave 文件波形显示和播放,暂停,打开,自动绘制波形文件-hsdjkhdksafdksdfhkjfdjfsdfsdafff
  3. 所属分类:Voice Compress

    • 发布日期:2017-04-15
    • 文件大小:7217
    • 提供者:赵都
  1. FFT

    0下载:
  2. 对文本文档内的数据进行傅里叶变换,得到波形 -频谱图,相位图的对话框界面-For text document data within the Fourier Transform, the wave- frequency spectrum, phase diagram of the dialog box interface
  3. 所属分类:GUI Develop

    • 发布日期:2017-03-29
    • 文件大小:317364
    • 提供者:宫同举
  1. csharptoshowwave

    0下载:
  2. 这是一个我所做的显示波形的项目,它使用c#编写的,包含多种功能实现-This is a show I did wave project, which uses the c# written, multi-function realization
  3. 所属分类:CSharp

    • 发布日期:2017-05-08
    • 文件大小:1940135
    • 提供者:陈帅
  1. wavegenerator

    0下载:
  2. 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verif
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:498394
    • 提供者:李海明
« 1 2 3 45 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com